首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
common   脉冲信号正常与否的判断 Bazinga 2014-2-28 0/266 Bazinga 2014-2-28 19:41
common   Xilinx ISE 使用入门4 苹果也疯狂 2014-4-7 0/266 苹果也疯狂 2014-4-7 10:56
common   如何正确使用FPGA的时钟资源 porereading 2014-4-23 0/266 porereading 2014-4-23 21:37
common   高速多模式RS编码的设计方案 porereading 2014-4-23 0/266 porereading 2014-4-23 21:58
common   《FPGACPLD设计工具──Xilinx+ISE使用详解》 附件 苹果也疯狂 2014-4-26 0/266 苹果也疯狂 2014-4-26 16:32
common   《FPGACPLD设计工具──Xilinx+ISE使用详解》 附件 苹果也疯狂 2014-4-26 0/266 苹果也疯狂 2014-4-26 16:35
common   Verilog HDL硬件描述语言第六章 附件 苹果也疯狂 2014-4-26 0/266 苹果也疯狂 2014-4-26 16:44
common   MCS-51与FPGA/CPLD总线接口逻辑设计 pengpengpang 2014-6-8 0/266 pengpengpang 2014-6-8 21:03
common   基于FPGA的雷达信号处理方法探究 pengpengpang 2014-7-5 0/266 pengpengpang 2014-7-5 20:48
common   风雨30年:聆听FPGA王者的灵思感悟 porereading 2014-7-27 0/266 porereading 2014-7-27 16:27
common   解决FPGA时序问题的八大忠告 pengpengpang 2014-8-22 0/266 pengpengpang 2014-8-22 19:47
common   《Xilinx可编程逻辑器件设计与开发(基础篇)》连载37:PlanAhead进行RTL代码开发 pengpengpang 2014-10-25 0/266 pengpengpang 2014-10-25 10:42
common   FPGA-核nios全面接触_2 冰封 2014-12-29 0/266 冰封 2014-12-29 20:01
common   FPGA时序 pengpengpang 2015-1-19 0/266 pengpengpang 2015-1-19 19:25
common   FPGA时序收敛 pengpengpang 2015-1-21 0/266 pengpengpang 2015-1-21 20:13
common   赛灵思FPGA全局时钟网络结构详解 yuyang911220 2015-1-22 0/266 yuyang911220 2015-1-22 13:02
common   如何发现并解决FPGA设计中的时序问题 pengpengpang 2015-1-26 0/266 pengpengpang 2015-1-26 10:12
common   Verilog的两个误区 (转载) 冰封 2015-1-28 0/266 冰封 2015-1-28 10:26
common   分享:FPGA管脚分配需要考虑的因素 pengpengpang 2015-3-25 0/266 pengpengpang 2015-3-25 11:07
common   用CPLD创建具有弹性指令集的微控制器 pengpengpang 2015-4-30 0/266 pengpengpang 2015-4-30 15:44
common   基于FPGA的CIC以及补偿滤波器的设计 yshc 2015-12-23 0/266 yshc 2015-12-23 10:37
common   DTCP 冰封 2016-8-22 0/266 冰封 2016-8-22 21:38
common   强大的防盗定位追踪系统方案,硬件原理、结构框图、软件流程、源码打包奉送(5) yshc 2016-9-20 0/266 yshc 2016-9-20 22:57
common   用FPGA来加速采用OpenCL的多功能打印机图像处理(1) yshc 2016-9-21 0/266 yshc 2016-9-21 22:24
common   CPLD对FPGA从并快速加载的解决方案(3) yshc 2016-9-22 0/266 yshc 2016-9-22 18:37
common   帮我看看这个程序哪有错? yuchengze 2016-11-24 0/266 yuchengze 2016-11-24 21:40
common   菜鸟级别零基础学转FPGA yuchengze 2017-2-22 0/266 yuchengze 2017-2-22 14:49
common   Verilog HDL基础之:其他常用语句之二 冰封 2017-3-25 0/266 冰封 2017-3-25 22:03
common   FPGA设计开发软件ISE使用技巧之:典型实例-ChipScope功能演示之二 冰封 2017-3-25 0/266 冰封 2017-3-25 22:47
common   FPGA中SPI复用配置的编程方法 冰封 2017-4-25 0/266 冰封 2017-4-25 18:27
common   基于FPGA的提取位同步时钟DPLL设计 冰封 2017-5-22 0/266 冰封 2017-5-22 18:17
common   MAX II 器件的I/O扩展 冰封 2017-6-25 0/266 冰封 2017-6-25 06:30
common   FPGA和CPLD对比与入门 look_w 2017-11-16 0/266 look_w 2017-11-16 12:10
common   基于PLC的变速器同步器测试系统 best1538 2017-12-18 0/266 best1538 2017-12-18 16:35
common   赛灵思FPGA:面向动态应用的灵活操作系统-1 look_w 2018-3-18 0/266 look_w 2018-3-18 17:08
common   从赛灵思Kintex-7认识FPGA(2) best1538 2018-6-20 0/266 best1538 2018-6-20 19:17
common   Verilog之计数器资源优化 best1538 2018-8-17 0/266 best1538 2018-8-17 19:10
common   FPGA Fanout-Fanin(扇入扇出) best1538 2018-8-21 0/266 best1538 2018-8-21 21:38
common   ASIC大爆发之际,FPGA将沦为其“过渡”品的命运? best1538 2018-9-2 0/266 best1538 2018-9-2 21:51
common   通过图形化开发环境——LabVIEW FPGA加速嵌入式系统原型化的过程(2) best1538 2018-9-7 0/266 best1538 2018-9-7 22:00
    类型 排序方式 时间范围