首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

麻烦用过的看一下:在用UP3中遇到的SLS自带的SRAM的编译问题?

麻烦用过的看一下:在用UP3中遇到的SLS自带的SRAM的编译问题?

麻烦有用过的看一下。在用UP3的SRAM时,碰到里面的IP CORE的LICENCE非法的问题,无法编译。自己设计sram是一个不错的想法,不知道是否有相关的参考资料?但是如果可以解决这个LICENSE问题似乎会更快,可以专心作其他方面的工作。谢谢!
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[31]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[30]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[29]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[28]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[27]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[26]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[25]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[24]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[23]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[22]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[21]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[20]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[19]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[18]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[17]" at SystemTop.vhd(2293) to GND or VCC
Warning: Tied undriven net "IS61C6416_SRAM_0_avalonS_address[16]" at SystemTop.vhd(2293) to GND or VCC
Info: Using design file IS61C6416_SRAM_0.vhd, which is not specified as a design file for the current project, but contains definitions for 2 design units and 1 entities in project
        Info: Found design unit 1: IS61C6416_SRAM_0-europa
        Info: Found entity 1: IS61C6416_SRAM_0
Error: Can't find valid feature line for core 5750_0500 in current license
Error: Can't open encrypted VHDL or Verilog HDL file "U:/nios2_in_up3/AvlSramCtrl.v" -- current license file does not contain a valid license for encrypted file
Error: Node instance "the_AvlSramCtrl" instantiates undefined entity "AvlSramCtrl"
Error: Quartus II Analysis & Synthesis was unsuccessful. 3 errors, 16 warnings
        Error: Processing ended: Fri May 27 10:16:46 2005
        Error: Elapsed time: 00:00:07
Error: Quartus II Full Compilation was unsuccessful. 3 errors, 16 warnings
有你,有我,有我们共同的努力,一定要把NIOS搞透,搞熟
返回列表