首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

QuartusII仿真IP核的问题

QuartusII仿真IP核的问题

调用IP核做功能仿真正确,但是时序仿真出现Error: Can't continue simulation because delay annotation information for design is missing请哪位高手指点
我的邮箱:mingxin6703@126.com
QQ:67035753
我用QuartusII对其它一个简单的程序仿真也出现这错,是不是我软件安装有问题啊?
是不是要想时序仿真还要写testbench啊
返回列表