首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

帮忙看下这个程序

帮忙看下这个程序

这段代码编译警告din输入的问题,谁能告速我怎么解决?

library ieee;
use ieee.std_logic_1164.all;
entity sreg8b is
port (clk: in std_logic;
     load: in std_logic;
      din: in std_logic_vector(7 downto 0);
       qb: out std_logic);
end sreg8b;
architecture behav of sreg8b is
    signal reg8: std_logic_vector(7 downto 0);
begin
    process (clk,load)
    begin
       if clk'event and clk='1' then
         if load='1' then reg8<=din;
           else reg8(6 downto 0)<=reg8(7 downto 0);
         end if;
       end if;
   end process;
   qb<=reg8(0);
end behav;

不会是输入吧,你中间 reg8(6 downto 0)<=reg8(7 downto 0),两边的数据宽度不对,应该reg8(6 downto 0)<=reg8(7 downto 1);吧
我是天堂的使者,向我倾诉吧
谢谢! 这个问题解决的.是我手痴了. : )
返回列表