首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我是新手,请高手进来帮忙指点,谢谢![求助]

我是新手,请高手进来帮忙指点,谢谢![求助]

刚学校毕业,最近看了不少cpld的书,学了很多vhdl quartus2的东西,但是越学就觉得cpld这个领域越深奥,自己就越渺茫,甚至找不到方向了,感觉什么都不会似的,迷茫似乎就成了我这么久努力的唯一收获,因为始终无法对cpld形成一个明确的认识,又找不到可以请教的人,所以就上论坛来寻求高手们的指点,因为有时我明明按照书上的例子来写的程序居然在quartus2里面无法编译,比如wait on input1;这种用wait on代替敏感信号的语句,如果选择编译当前页面就没有问题,但是如果选择全部编译就会出错,错误提示如下:

wait statement must contain condition clause with NUTIL keyword
process statement must contain either a sensitivity list or a wait statement

这种问题是综合与模拟的问题,这综合和模拟的问题,而又怎样才能保证程序的可综合性呢?

还有就是既然这种语句只能模拟不能综合那又有什么用呢?总之是搞得晕头转向,那位高手帮帮小弟阿,我的手机:13811388577邮箱ag2008@163.com 希望指点指点,神啊救救我吧:~)

刚从学校毕业,一直做51,想学cpld,请高手们多多帮助小弟!:)
返回列表