首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

modelsim出问题,请高手进来指点[求助]

modelsim出问题,请高手进来指点[求助]

打开ise,运行modelsim的时候出来这么多的错误,是怎么回事啊?请高人指点,急急急急急急急.... ,谢谢了先
# Loading work.test_bp_t_bp_v_tf
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "C:\Xilinx6.3\verilog\mti_se\XilinxCoreLib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "C:\Xilinx6.3\verilog\mti_se\unisims_ver".
# No such file or directory. (errno = ENOENT)
# Loading work.test_bp
# ** Warning: (vsim-3009) [TSCALE] - Module 'test_bp' does not have a `timescale directive in effect, but previous modules do.
# Region: /test_bp_t_bp_v_tf/uut
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "C:\Xilinx6.3\verilog\mti_se\XilinxCoreLib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "C:\Xilinx6.3\verilog\mti_se\unisims_ver".
# No such file or directory. (errno = ENOENT)
# Loading work.b
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "C:\Xilinx6.3\verilog\mti_se\XilinxCoreLib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "C:\Xilinx6.3\verilog\mti_se\unisims_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-3033) b.v(42): Instantiation of 'BUFG' failed. The design unit was not found.
# Region: /test_bp_t_bp_v_tf/uut/ist
# Searched libraries:
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "C:\Xilinx6.3\verilog\mti_se\XilinxCoreLib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "C:\Xilinx6.3\verilog\mti_se\unisims_ver".
# No such file or directory. (errno = ENOENT)
# work
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "C:\Xilinx6.3\verilog\mti_se\XilinxCoreLib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "C:\Xilinx6.3\verilog\mti_se\unisims_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-3033) b.v(44): Instantiation of 'IBUFG' failed. The design unit was not found.
# Region: /test_bp_t_bp_v_tf/uut/ist
# Searched libraries:
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "C:\Xilinx6.3\verilog\mti_se\XilinxCoreLib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "C:\Xilinx6.3\verilog\mti_se\unisims_ver".
# No such file or directory. (errno = ENOENT)
# work
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "C:\Xilinx6.3\verilog\mti_se\XilinxCoreLib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "C:\Xilinx6.3\verilog\mti_se\unisims_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-3033) b.v(65): Instantiation of 'DCM' failed. The design unit was not found.
# Region: /test_bp_t_bp_v_tf/uut/ist
# Searched libraries:
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "C:\Xilinx6.3\verilog\mti_se\XilinxCoreLib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "C:\Xilinx6.3\verilog\mti_se\unisims_ver".
# No such file or directory. (errno = ENOENT)
# work
# Loading work.glbl
# Error loading design
通常出现这种错误信息可能是由于你安装了VHDL语言的modelsim,需要重新安装一下modelsim并选择Verilog.

安装完以后再编译一下库,保证工具能够找到这些库文件
没有编译你的库吧
我喜欢和大家一起交流xilinx的fpga技术
我的q是93214995,群是18411142
你是在做前仿还是综合后仿?
我觉得是没有编译库
返回列表