首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ISE调model的问题[求助]

ISE调model的问题[求助]

网上看到的一个问题,没有遇到过,高手给解答一下!我替问题提出者谢谢各位了!


 


问题提示是:
# Model Technology ModelSim SE vlog 5.8b Compiler 2004.01 Jan 26 2004
# ** Error: (vlog-19) Failed to access library 'work' at "./modelsim_work".
# No such file or directory. (errno = ENOENT)
# ** Error: Library work not found.
# ** Error: Verilog Compiler exiting
# ** Error: d:/Modeltech_5.8b/win32/vlog failed.
# Error in macro ./tb_glr.fdo line 5
# d:/Modeltech_5.8b/win32/vlog failed.
# while executing
# "vlog glr_jjliu.v
# "
# Load canceled

我的ini设置是:
[Library]
std = $MODEL_TECH/../std
ieee = $MODEL_TECH/../ieee
verilog = $MODEL_TECH/../verilog
vital2000 = $MODEL_TECH/../vital2000
std_developerskit = $MODEL_TECH/../std_developerskit
synopsys = $MODEL_TECH/../synopsys
modelsim_lib = $MODEL_TECH/../modelsim_lib

UNISIMS_VER = d:\Modeltech_5.8b\xilinx_libs\unisims_ver
SIMPRIMS_VER = d:\Modeltech_5.8b\xilinx_libs\simprims_ver
XILINXCORELIB_VER = d:\Modeltech_5.8b\xilinx_libs\XilinxCoreLib_ver
AIM_VER = d:\Modeltech_5.8b\xilinx_libs\abel_ver\aim_ver
CPLD_VER = d:\Modeltech_5.8b\xilinx_libs\cpld_ver
UNI9000_VER = d:\Modeltech_5.8b\xilinx_libs\uni9000_ver
UNISIM = d:\Modeltech_5.8b\xilinx_libs\unisim
SIMPRIM = d:\Modeltech_5.8b\xilinx_libs\simprim
XILINXCORELIB = d:\Modeltech_5.8b\xilinx_libs\XilinxCoreLib
AIM = d:\Modeltech_5.8b\xilinx_libs\abel\aim
PLS = d:\Modeltech_5.8b\xilinx_libs\abel\pls
CPLD = d:\Modeltech_5.8b\xilinx_libs\cpld
work = ./modelsim_work
stratix = modelsim_work

不知那位高人可以给予指点,多谢了!

没有找到你的库,你需要把你编译后的库加到你的库里面,嘿嘿,
我喜欢和大家一起交流xilinx的fpga技术
我的q是93214995,群是18411142
返回列表