首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问ieee 库里有没有numeric_std这个包?如果没有,那在哪个库里?

参考意见。

IEEE资源库中本身是包含了的,但是不知到你到底出了什么错误,也许是你在装软件的时候没有装全面,又或者是你使用的软件版本是评估版,他本身有一定的限制呢?我只是一种猜测而已不防多想想其他办法,希望你早日解决。[em07][em07][em07]

请问ieee 库里有没有numeric_std这个包?如果没有,那在哪个库里?

请问ieee 库里有没有numeric_std这个包?如果没有,那在哪个库里?
USE ieee.numeric_std.ALL;编译时老是说有误,怎么回事呢?
返回列表