首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]请教DCM的问题

[求助]请教DCM的问题

写了一个关于DCM的程序 我希望是产生一个2倍频的时钟。 结果是可以产生2倍频时钟。问题是反馈的clkfb为什么会没有输出呢 ? 我的程序是这样的:(不知道是不是这样写)

--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:15:37 04/02/06
-- Design Name:
-- Module Name: clock2x - Behavioral
-- Project Name:
-- Target Device:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;

entity clock2x is
port(
clkin : in std_logic;
rst : in std_logic;
clk2x : out std_logic
);
end clock2x;

architecture Behavioral of clock2x is


component dcm
generic( clk_feedback : string :="1x";
dfs_frequency_mode : string :="low"
);


port(
clkin : in std_logic;
clkfb : in std_logic;
rst : in std_logic;
clk0 : out std_logic;
clk2x : out std_logic
);
end component;

signal clk0 : std_logic;
signal clkfb: std_logic;


begin

inst_dcm : dcm

generic map( clk_feedback=>"1x",
dfs_frequency_mode=>"low"
)
port map (
clkin=>clkin,
rst=>rst,
clkfb=>clkfb,
clk0=>clk0,
clk2x=>clk2x
);

end Behavioral;

应该是程序有问题 希望高手给我指点一下  为什么clkfb 就是没有信号 就好像是clk0根本没有反馈到clkfb 一样 谢谢先。
返回列表