首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问17位的二进制计数器怎么产生?

一个最简单的示例: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter17 is port(clk:in std_logic; q:buffer std_logic_vector(16 downto 0) ); end counter17; architecture behave of counter17 is begin process(clk) begin if clk'event and clk='1' then q<=q+1; end if; end process; end behave; 建议你多看看VHDL的书

请问17位的二进制计数器怎么产生?

请问17位的二进制计数器怎么产生?
返回列表