- UID
- 142243
- 性别
- 男
|
在调用ISE的DDS模块时出现以下Waring,是致命的,因为没有输出。 我只是用DDS产生一个正弦波:
component DDS port( clk: in std_logic; COSINE: out std_logic_vector(13 downto 0)); end component;
WARNING:Xst:37 - Unknown property "black_box". WARNING:Xst:647 - Input <clk> is never used. WARNING:Xst:1305 - Output <COSINE> is never assigned. Tied to value 00000000000000.
37号warning在调用别的IP core时也遇到过,但Property不一样,能正常使用。关键是后面的waring,输入没有连接,输出直接到地。输入clk我例化时已经接了系统时钟了。
请高人指点啊。
|
|