首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我是一个新手,碰到一个非常菜的问题。

它不支持TIME类型的参数.MAXP不支持AFTER的,去掉它或用其它软件吧
maxplus不能综合after 类型,

我是一个新手,碰到一个非常菜的问题。

我是一个新手,碰到一个非常菜的问题。 我在MAXPLUS II 中用vhdl写了下面的小程序,可是在save and check时,却提示这样 的错误:Unsupported feature error:Generic of this type is not supported 程序如下: library ieee; use ieee.std_logic_1164.all; entity pgbuf1 is Generic( TRISE=1 ns; TFALL=1 ns ); port ( a0 : in std_logic; z0 : out std_logic ); end pgbuf1; architecture behav of pgbuf1 is begin process(a0) variable zdf : std_logic; begin zdf := a0; if zdf = '1'then z0 <= transport zdf after TRISE; elsif zdf = '0' then z0<=transport zdf after TFALL; else z0<=transport zdf; end if; end process; end behav;
返回列表