我是一个新手,碰到一个非常菜的问题。 我在MAXPLUS II 中用vhdl写了下面的小程序,可是在save and check时,却提示这样 的错误:Unsupported feature error:Generic of this type is not supported
程序如下:
library ieee;
use ieee.std_logic_1164.all;
entity pgbuf1 is
Generic( TRISE =1 ns;
TFALL =1 ns );
port (
a0 : in std_logic;
z0 : out std_logic
);
end pgbuf1;
architecture behav of pgbuf1 is
begin
process(a0)
variable zdf : std_logic;
begin
zdf := a0;
if zdf = '1'then
z0 <= transport zdf after TRISE;
elsif zdf = '0' then
z0<=transport zdf after TFALL;
else
z0<=transport zdf;
end if;
end process;
end behav; |