首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

用modelsim作后仿真的问题!请大家指教!

用modelsim作后仿真的问题!请大家指教!

载入.vo和.sdo文件后,编译仿真出现以下错误:

# Loading F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo
# ** Error: (vsim-SDF-3250) F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo(35): Failed to find INSTANCE '/video_top_tb/DDRRAM_FIFO|ddrram_ctl|ddr_dqs_l|altddio_bidir_component|ddio_bidir[0]|ioatom/asynch_inst'.
# ** Error: (vsim-SDF-3250) F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo(49): Failed to find INSTANCE '/video_top_tb/DDRRAM_FIFO|ddrram_ctl|ddr_dqs_h|altddio_bidir_component|ddio_bidir[0]|ioatom/asynch_inst'.
# ** Error: (vsim-SDF-3250) F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo(63): Failed to find INSTANCE '/video_top_tb/DDRRAM_FIFO|ddrram_ctl|ddr_dq_l|altddio_bidir_component|ddio_bidir[0]|ioatom/asynch_inst'.
# ** Error: (vsim-SDF-3250) F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo(77): Failed to find INSTANCE '/video_top_tb/DDRRAM_FIFO|ddrram_ctl|ddr_dq_l|altddio_bidir_component|ddio_bidir[1]|ioatom/asynch_inst'.
# ** Error: (vsim-SDF-3250) F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo(91): Failed to find INSTANCE '/video_top_tb/DDRRAM_FIFO|ddrram_ctl|ddr_dq_l|altddio_bidir_component|ddio_bidir[2]|ioatom/asynch_inst'.
# ** Warning: (vsim-SDF-3432) F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo: This file is probably applied to the wrong instance.
# Ignoring subsequent missing instances from this file.
# ** Warning: (vsim-SDF-3440) F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo: Failed to find any of the 2904 instances from this file.
# ** Warning: (vsim-SDF-3442) F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo: Try instance '/video_top_tb/video_top'. It contains all instance paths from this file.
# ** Error: (vsim-SDF-3445) Failed to parse SDF file "F:/research/DDRSDRAMtest/EP1C6Q240/simulation/modelsim/video_top_v.sdo".
#    Time: 0 ps  Iteration: 0  Region: /video_top_tb  File: F:/research/DDRSDRAMtest/EP1C6Q240/video_top_tb.v
# ** Error: (vsim-7) Failed to open SDF file "video_top_v.sdo" in read mode.
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-SDF-3445) Failed to parse SDF file "video_top_v.sdo".
#    Time: 0 ps  Iteration: 0  Region: /video_top_tb  File: F:/research/DDRSDRAMtest/EP1C6Q240/video_top_tb.v
# Error loading design

是不是还有什么库没有编译,请大家指教!
返回列表