首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

CPLD双向I/O口问题请教!

if oe='1' then pin<=output; else pin<='Z'; pin_buf<=pin; end if; 这样应该可以了吧

CPLD双向I/O口问题请教!

CPLD双向I/O口问题请教! EPM7128S I/O口在VHDL中设置为INOUT双向口, 在作输出口时直接输出没有问题: SDATA<='0'; 切换为输入口时: SDATA<='Z'; DATA_RET<=SDATA; 从外部输入数据1,发现数据线电平为低,无法输入数据! 不知是何原因!请高手指教! 从输入到输出时也好像不能实现 COM<='Z'; DATA<=COM; --输入数据正确! 然后直接输出 COM<=TEMP_DATA; TEMP_DATA 为信号变量,但发现I/O口COM输出状态不对,不知有何不妥! 总而言之:就是一个I/O 虽然设置为INOUT,但先用作输出口后再切换为输入口,输出时正常但输入不对;反之亦然! 请高手赐教!!不胜感激!
vhdl语言的特点是process and procedure 语句以外的语句是并行执行的,你那样写需要设置条件进行判断,否则在某个状态会有两种不同的信号流向,是不允许的
返回列表