首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]用modelsim仿真结果能不能保存为文本格式???

[求助]用modelsim仿真结果能不能保存为文本格式???

小弟需要把仿真出来的结果,再在matlab上画图分析.所以需要将仿真结果保存下来.
而我现在仅能在屏幕上看结果!
我应该怎么做呢??用modelsim仿真结果能不能保存为文本格式???
恳请高手指点!!!谢谢!!!
可以把你所想要的信号保存为文本格式
$fopen("****.txt");

$fdisplay(".......",*)
这样就可以 完成将仿真结果保存为文本格式

另外,以下两种你也可以试试:
1.在写testbench时,vhdl用text_io,verilog用write,strobe,display等系统任务
2.在modelsim中,你把要保存的信号添加到list中,仿真结束后保存list文件。
我不是高手
给你看看这个!刚看到的,肯定有用!感谢32kmcu版主!
modelsim使用详细说明(中文)-供应商提供


http://bbs.chinaecnet.com/dispbbs.asp?boardid=20&rootid=111992&id=111992&star=
我不是高手

谢谢各位的版主!现在就去看看!

为啥要保存为文本形式呢?

我怎么看不到呢
我怎么看不到啊,不能下。能给发一份吗?谢谢了。yanghai1120@126.com
谢谢楼主~~
返回列表