首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

为什么这样定义的计数器不能正确功能仿真,好郁闷好几天了,是不是对inout类型不能综

为什么这样定义的计数器不能正确功能仿真,好郁闷好几天了,是不是对inout类型不能综

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter is port(
                      clk: in    std_logic;
                    count: inout std_logic_vector(15 downto 0)
            );
end counter;

architecture Behavioral of counter is
  begin
    process(clk)
    begin
     if (clk='1') and (clk'event) then
             count<=count+1; 
     end if;
    end process;
end Behavioral;

你为什么要用inout定义count?inout是可以综合的,仿真的时候会作为双向处理,建议你用out定义count

count<=count+1; count也是输入吧,定义成out,是不是不好啊,我自己觉得,我直接在modelsim上建一个工程,试了一下,定义成out,有语法错误

我把你的代码复制下来在QUARTUSII5.0仿了一下,结果是对的啊
返回列表