这个论坛真是徒有虚名,还是我自己来回答吧:实体名与文件名应一致!!我是在C51BBS得到这个答案的。
再提一问:
程序代码:
Library ieee;
use ieee.std_logic_1164.all;
Entity mux4 is
port
(
d0,d1,d2,d3 : in std_logic_vector(7 downto 0);
s0,s1 : in std_logic;
q : out std_logic_vector(7 downto 0));
end mux4;
Architecture behave of mux4 is
begin
label : process(d0,d1,d2,d3,s0,s1)
variable tmp : integer;
begin
tmp := 0;
if (s0 = 1) then
tmp := tmp + 1;
end if;
if (s1 = 1) then
tmp := tmp + 2;
end if;
case tmp is
when 0 => q <= d0;
when 1 => q <= d1;
when 2 => q <= d2;
when 3 => q <=d3;
when others => null;
end case;
end process;
end behave;
执行Create Default Symbol时报错如下:
Errorine 12:File d:\maxplus2\study\mux4.vhd:VHDL syntax error:expected a
Concurrent Statement
Errorine 14:File d:\maxplus2\study\mux4.vhd:VHDL syntax error:expected a
Concurrent Statement
Errorine 16:File d:\maxplus2\study\mux4.vhd:VHDL syntax error:expected a
Concurrent Statement
Error:Termination notification:errors in d:\maxplus2\study\mux4.vhd prevent
further processing
请问高手如何解决?系统:Win98+MAX PLUS II 10.2 |