首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

在Modelsim中使用脚本进行仿真

在Modelsim中使用脚本进行仿真

在对FPGA的设计进行仿真的过程中,调用脚本进行仿真比直接使用GUI更方便。在使用之前,我们需要将Xilinx ISE仿真库文件加载至Modelsim中,这里使用ISE自带的工具 Simulation Library Compilation Wizard 进行加载,其加载过程如下:
1、找到开始菜单->程序->Xilinx ISE Design Suite 11 -> ISE -> Accessories -> Simulation Library Compilation Wizard.


2、选定ModelSim的版本,以及指定ModelSim的安装路径。


3、选择Both VHDL and Verilog。


4、选择支持哪些系列的芯片,看自己需要增减。


5、默认选全上即可。


6、指定编译完后的库存放位置,这里作者在modelsim安装目录下新建了xilinx_lib的文件夹,并指定到这里。(注意不要指向带空格的路径)


7、运行 Lauch Compile Process,即开始编译库文件,需要几十分钟。编译完成后应该在xilinx_lib目录下多出如下文件夹:


8、右键打开modelsim目录下的modelsim.ini文件,先将其“只读”属性去掉。然后用记事本打开。在[Library]下面添加如下代码,即之前编译好的Xilinx库的路径。
unimacro = D:/softwares/modelsim/xilinx_lib/unimacro
unimacro_ver = D:/softwares/modelsim/xilinx_lib/unimacro_ver
unisim = D:/softwares/modelsim/xilinx_lib/unisim
unisims_ver = D:/softwares/modelsim/xilinx_lib/unisims_ver
secureip = D:softwaresmodelsimxilinx_lib/secureip
xilinxcorelib = D:/softwares/modelsim/xilinx_lib/xilinxcorelib
xilinxcorelib_ver = D:/softwares/modelsim/xilinx_lib/xilinxcorelib_ver
simprim = D:/softwares/modelsim/xilinx_lib/simprim
simprims_ver = D:/softwares/modelsim/xilinx_lib/simprims_ver


9、再次打开ModelSim,即可以看到Xilinx的库已经默认出现在了库列表里。以后仿真Xilinx的IP核时,就不用每次都添加库了。


这样就把Xilinx仿真库加载到了Modelsim中
加载Xilinx仿真库之后,下面编写脚本进行仿真,这里附上常用的脚本命令,以供参考:
文件名:simulation.do
/*******************************************************************************************
#建立work工作目录;
vlib work

#编译verilog源代码
vlog ../src/top_module.v
vlog ../src/sub_module.v

#编译IPCORE
vlog ../core/ip_core.v

#编译测试激励顶层文件
vlog ../sim/tb.v

#编译本地库
#这部分是Xilinx调用方法,对于Altera需要更改
vlog C:/Xilinx/12.3/ISE_DS/ISE/verilog/src/glbl.v

#加载激励以及仿真库
vsim -novopt -t 1ps -L xilinxcorelib_ver -L unisims_ver -L unimacro_ver -L secureip -lib work tb glbl

#加载波形, *符号表示所有的信号,类似于通配符
add wave /*
add wave/dut/sub_module_inst/*

#运行加上时间与单位
run 100us
/*******************************************************************************************

运行仿真:do simulation.do
回车即可
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表