首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我想编译ise的库,怎么不行呢?

我想编译ise的库,怎么不行呢?

安装了ise9,1i  modelsim6.1c se,都能单独运行了。

我想编译ise的库,按照一个帖子中的步骤,

1。新建工程

2。芯片属性中设置好仿真工具 modelsim SE,edit preference中路径也设置成了modelsim.exe的路径。

3。然后选中芯片型号,在process窗口中选择compile hdl simulation libraries,属性都是按照说明设置的,不知道为啥找不到target simulator这一项。其他的选项都有。

4。编译,出现下面的错误,编译不下去。

这个错误是什么意思啊?找不到原因阿。

    ____  ____
   /   /\/   /
  /___/  \  /    VENDOR      : Xilinx Inc.
  \   \   \/     VERSION     : 9.1.03i (J.33)
   \   \         APPLICATION : compxlib
   /   /         CONTENTS    : Compilation Log
  /___/   /\     FILENAME    : compxlib.log
  \   \  /  \    CREATED ON  : THU 26 APR 20:32:27 2007
   \___\/\___\

XILINX = 'C:\Xilinx91i'
Library Source => 'C:\Xilinx91i'

Compilation Mode = FAST
Scheduling library compilation for VIRTEX-II

Signature:-
------------------------------------------------------------------------------
compxlib -s mti_se
         -arch virtex2
         -lib unisim
         -lib simprim
         -lib xilinxcorelib
         -l vhdl
         -dir C:\Xilinx91i
         -log compxlib.log
         -w
------------------------------------------------------------------------------
ERROR:CAEInterfaces - COMPXLIB[env]: unable to automatically find simulator (mti_se) executables.

红色是错误。

[此贴子已经被作者于2007-4-27 0:32:06编辑过]

谢谢。我就是照着那个做的,不知道斑竹是

1。modelsim中仿真xilinx的程序

2。ise调用modelsim

我第一个没试,觉得比较难,用的第二个,准备从ise中调用modelsim,但是不知道为什么,第一步编译库就进行不下去。那几个步骤中,最大的出入就是“process窗口中选择compile hdl simulation libraries,属性都是按照说明设置的,不知道为啥找不到target simulator这一项。其他的选项都有。”

不知道是不是这个导致了错误,难道ise 9.1i在安装过程中出现问题?不然为什么没有文档中提到的选项。还是怎么回事?

因为根本不理解error的内容,无从下手。

难道我需要卸载,重装一下吗?

拿我试一下,不知道系统的 环境参数中的path中,需要改变什么吗?

估计可能重起,重装一下,回来反馈。。

还是不行......

 

 

1。忘了说了,那个modelsim 6.1c 是在 FPGA advantage 7.2 LS 这个软件包里面的,不是单独存在的。

 

2。是不是modelsim 6.1c 不支持xilinx, 或者ise不识别FPGA advantage 7.2LS这个软件包里面的modelsim阿?

 

3。如果modelsim不能用的话,用ise自带的simulator可以吗? 效果如何?有差别吗?我用了很多ip核生成存储器。。

 

[em06][em06]

[此贴子已经被作者于2007-4-27 0:35:28编辑过]

用第一种方法解决了。。。

第二种方法最后都不行。。

我在编译的时候也出现过这样的问题

我看vhdl里明明有suprim这个库,可运行的时候却出现找不到这个库,请问一下是怎么回事了

自己建库的时候,名字一定要固定是被承认的,比如说

simprim,就是simprim,就不能simprim_vhd之类的

我可能知道是怎么回事,

你可以试一下了,就在设置属性的地方,你看有一个search in path 了没有,那一栏你必须选择路径,如c:\modelsim6_2\win32,这样做应该就行了

那是因为你调用的是modelsim se仿真,你要把那个属性改为,ise simulator
返回列表