首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

QUARTUS II中IP核的调用方法

QUARTUS II中IP核的调用方法

很多人都说QUARYUSII中的IP核是收费的,不可以直接用的,其实不然,下面我以FIR滤波器的核的使用来给大家介绍IP核的使用,希望对大家有点帮助。          1.使用
          (1)首先建立工程,这个就不说了。然后建立个原理图文件或者.v文件
          (2)打开magevizard工具箱,如图
          
          (3)然后找到你想要用到的核,这里找到fir09
          
          (4)然后再写上自己想要的文件名字,然后next。后出现这样的菜单栏。如下图
          
          然后就是按部就班的来了,设置参数啊,生成仿真文件啊完成啊。
          (5)然后就可以在.v或原理图文件中调用了。
          (6)具体使用方法要看使用文档的。
继承事业,薪火相传
返回列表