首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Xilinx Vivado的使用详细介绍(4)

Xilinx Vivado的使用详细介绍(4)

打开生成的design_1_wrapper.v文件如图,红框中的代码用来调用前面画好的Block Design模块。

在design_1_wrapper.v文件中,添加Testbench代码即可进行行为仿真。修改代码如下,给输入信号a赋初值为8,clk连接到Testbench生成的时钟信号c上。
  • wire [3:0]a =
    8;
  • wire clk;
  • wire [7:0]p;
  • reg c =
    0;
  • always #10 c <= ~c;
  • assign clk = c;
在Simulation Sources文件夹下,设置design_1_wrapper.v为行为仿真的顶层文件(右击,选择Set as Top)。

启动行为仿真,最终输出的波形如下。可以看到,在clk的第一个上升沿后,就有 p = a*a = 64,即实现了平方运算。

转载来源:http://www.hainter.com/vivado-basic-usage-3
继承事业,薪火相传
返回列表