首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

使用EDK时遇到的问题,希望高手指教!!

使用EDK时遇到的问题,希望高手指教!!

我在EDK9.2中使用MICROBLAZE构建了一个硬件系统,并添加了自己定制的IP核,在生成bit文件时出现如下错误:

NgdBuild:604 - logical block 'FOTG200_CHIP' with type 'FOTG200_CHIP' could
not be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol 'FOTG200_CHIP' is not
supported in target 'spartan3e'.

请问该如何解决?谢谢

1楼的,能交个朋友吗?我也在用EDK9。2,感觉有不少问题,能一起讨论下吗?

我的QQ是:396384

没有NGC文件

返回列表