首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

VHDL测试平台文件的书写

VHDL测试平台文件的书写

VHDL开发中测试平台文件怎么写啊?有没有规则,模版类的?ISE生成的模版不会用,有没有比较简单的书写格式?
请问楼上的哪本书有专门详细介绍测试文件的书写的?能不能推荐一本?谢谢!我是刚接触,摸索中
返回列表