首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

关于:Interface to user logic

关于:Interface to user logic

最近在看这方面的资料,自己写了一个module 挂接到avalon 总线上,并进行了和avalon相关信号的对应。有个问题请教大家,就是如何操作write/read/reset信号。cs信号好像是在操作外设时候自动产生的,数据和地址是可以通过IO读写函数进行操作。在Developing Peripherals for SOPC Builder文档中,使用IORD_ALTERA_AVALON_PWM_DIVIDER(base)这样的io函数进行对寄存器的读写,是不是意味着读和写信号也是自动产生的呢?根据IORD还是IOWR来自动产生读写时序?另外,reset信号如何操作。如果大家有什么看法,欢迎交流和赐教。
E-mail:rayme2000@163.com

05.4.4 进展

今天尝试了一下,发现使用IO.h中的函数进行操作时没有问题的。读写信号确实是可以由总线自动产生,这样一个自己编写的外设控制模块就可以挂接在avalon上使用了。不过,reset信号还不清楚 如何来使用,如何触发,希望大家多交流。哈哈~~
IO.h是不是只有在NIOSII中才有?!
返回列表