首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

在edk中生成比特流的时候出现的错误

在edk中生成比特流的时候出现的错误

ERROR:NgdBuild:604 - logical block
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
cl2_5/WO_ECC.DDR_CTRL_I/WO_ECC_BUS1XDDR.RDDATA_PATH_I/GEN_RD_DATA_BUS1XDDR.FI
FO_GEN[7].V2_ASYNCH_FIFO_I' with type
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' could not
be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' is not
supported in target 'virtex2p'.
ERROR:NgdBuild:604 - logical block
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
cl2_5/WO_ECC.DDR_CTRL_I/WO_ECC_BUS1XDDR.RDDATA_PATH_I/GEN_RD_DATA_BUS1XDDR.FI
FO_GEN[6].V2_ASYNCH_FIFO_I' with type
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' could not
be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' is not
supported in target 'virtex2p'.
ERROR:NgdBuild:604 - logical block
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
cl2_5/WO_ECC.DDR_CTRL_I/WO_ECC_BUS1XDDR.RDDATA_PATH_I/GEN_RD_DATA_BUS1XDDR.FI
FO_GEN[5].V2_ASYNCH_FIFO_I' with type
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' could not
be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' is not
supported in target 'virtex2p'.
ERROR:NgdBuild:604 - logical block
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
cl2_5/WO_ECC.DDR_CTRL_I/WO_ECC_BUS1XDDR.RDDATA_PATH_I/GEN_RD_DATA_BUS1XDDR.FI
FO_GEN[4].V2_ASYNCH_FIFO_I' with type
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' could not
be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' is not
supported in target 'virtex2p'.
ERROR:NgdBuild:604 - logical block
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
cl2_5/WO_ECC.DDR_CTRL_I/WO_ECC_BUS1XDDR.RDDATA_PATH_I/GEN_RD_DATA_BUS1XDDR.FI
FO_GEN[3].V2_ASYNCH_FIFO_I' with type
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' could not
be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' is not
supported in target 'virtex2p'.
ERROR:NgdBuild:604 - logical block
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
cl2_5/WO_ECC.DDR_CTRL_I/WO_ECC_BUS1XDDR.RDDATA_PATH_I/GEN_RD_DATA_BUS1XDDR.FI
FO_GEN[2].V2_ASYNCH_FIFO_I' with type
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' could not
be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' is not
supported in target 'virtex2p'.
ERROR:NgdBuild:604 - logical block
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
cl2_5/WO_ECC.DDR_CTRL_I/WO_ECC_BUS1XDDR.RDDATA_PATH_I/GEN_RD_DATA_BUS1XDDR.FI
FO_GEN[1].V2_ASYNCH_FIFO_I' with type
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' could not
be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5_wrapper_async_fifo_v4_0' is not
supported in target 'virtex2p'.
ERROR:NgdBuild:604 - logical block
'ddr_256mb_32mx64_rank1_row13_col10_cl2_5/ddr_256mb_32mx64_rank1_row13_col10_
cl2_5/WO_ECC.DDR_CTRL_I/WO_ECC_BUS1XDDR.RDDATA_PATH_I/GEN_RD_DATA_BUS1X
WARNING:NgdBuild:443 - SFF primitive
'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[4].OPB_ABUS_REG_BIT_I'
has unconnected output pin
WARNING:NgdBuild:443 - SFF primitive
'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[3].OPB_ABUS_REG_BIT_I'
has unconnected output pin
WARNING:NgdBuild:443 - SFF primitive
'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[2].OPB_ABUS_REG_BIT_I'
has unconnected output pin
WARNING:NgdBuild:443 - SFF primitive
'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[1].OPB_ABUS_REG_BIT_I'
has unconnected output pin
WARNING:NgdBuild:443 - SFF primitive
'opb_intc_0/opb_intc_0/OPB_INTFC_I/OPB_ABUS_REG_GEN[0].OPB_ABUS_REG_BIT_I'
has unconnected output pin
WARNING:NgdBuild:486 - Attribute "INIT" is not allowed on symbol
"plb_tft_cntlr_ref_0/TFT_IF_U5/TFT_CLK_ODDR" of type "ODDR". This attribute
will be ignored.
ERROR:NgdBuild:604 - logical block
'plb_tft_cntlr_ref_0/plb_tft_cntlr_ref_0/TFT_IF_U5/TFT_CLK_ODDR' with type
'ODDR' could not be resolved. A pin name misspelling can cause this, a
missing edif or ngc file, or the misspelling of a type name. Symbol 'ODDR' is
not supported in target 'virtex2p'.
Partition Implementation Status
-------------------------------
No Partitions were found in this design.
-------------------------------
NGDBUILD Design Results Summary:
Number of errors: 9
Number of warnings: 181

One or more errors were found during NGDBUILD. No NGD file will be written.
Writing NGDBUILD log file "system.bld"...
ERROR:Xflow - Program ngdbuild returned error code 2. Aborting flow execution...

里面好像有说到我的ip核不支持xup2p板 是不是这个原因,还有就是有很多的warning 都是说什么没有连接output pin的为什么是这样的

怎么没有人回答呢?
hi,解决没?
返回列表