首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助] 大家帮帮忙,我不知道我错在哪里啦?

[求助] 大家帮帮忙,我不知道我错在哪里啦?

《FPGA应用开发入门与典型实例》

第四章4.3.5的实例详解,我照着书上做的,程序如下——

module tryfact;
function [31:0] factorial;
input [3:0] operand;
reg[3:0]index;
begin
factorial=operand?1:0;
for(index=2;index<=operand;index=index+1)
factorial=index*factorial;
end
endfunction

reg[31:0]result;
reg[3:0] n;
initial
begin
result=1;
for(n=2;n<=9;n=n+1)
begin
$display("partial result n=%d result=%d",n,result);
result=n*factorial(n)/((n*2)+1);
end
$display("Finalresult=%d",result);
end
endmodule

可是在QUARTERII中编译时,出现了下面的错误——

can't synthesize current design--Top parrtition does not contain any logic。

请问我怎么改变?

这个只讲解语法的上面很多代码都是不能综合的。

真诚让沟通更简单! QQ:767914192
楼主 是讲座还是帖子类的啊  关注
互动学习。
真诚让沟通更简单! QQ:767914192

顶 到时候我可要关注了

anzhengzaixuexi ne
返回列表