首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

能帮忙解释下么

能帮忙解释下么

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY TEST IS
PORT(CLK,CLR:IN BIT;
D:IN bit;
Q,QN:OUT bit
);

END test;


ARCHITECTURE T OF TEST IS
SIGNAL QI:BIT;
BEGIN
QN<=d after 2 us;


PROCESS(CLR,CLK)
BEGIN
IF CLR='1' THEN QI<='0' AFTER 2 US;
ELSIF (CLK'EVENT AND CLK='1') THEN
Q<=D AFTER 4 US;
END IF;
END PROCESS;
END t;

不知道为什么Q的仿真是这样 至少在第一个转变为高电平处 我就不太理解 因为D根本就没有持续高电平4 US 按书上语法而言就要忽略

图中CLK的周期是2 US

你没有硬有,仿真与真实情况是不一样的。

有空加我,我跟你讲讲。

真诚让沟通更简单! QQ:767914192
[em01][em01]
睡觉睡到自然醒,数钱数到手抽筋!
  ▲╭╩═╮╔════╗╔════╗╔════╗╔════╗╔════╗
    ╭╯G O ╠╣支持楼主╠╣正真牛人 ╠╣雁过留声╠╣人过留帖╠╣拿分走人╣
    ╰⊙═⊙╯╚◎══◎╝╚◎══◎╝╚◎══◎╝╚◎══◎╝╚◎══◎╝
返回列表