首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

为SRAM创建一个Avalon tri-strate接口时遇到的问题

为SRAM创建一个Avalon tri-strate接口时遇到的问题

我用DE2的开发板,用Create New Component为SRAM创建一个Avalon tri-strate接口,data  width为16位,address  width为18位,其他还有byteenable_n ,chipselect_n ,read_n ,write_n  ,创建好添加到nios系统。另外nios系统还包括cpu ,Avalon Tri-Strate Bridge ,8bit的pio。
      生成系统,例化niosII处理器,地址端口是tri_state_bridge_0_address[18..0],问题是,创建元件时指定address  width为18位,这里为什么是从0到18共19位?
      这样,在引脚分配时,多出一位怎么分?因为DE2上SRAM的地址引脚是SRAM_ADDR[0]到SRAM_ADDR[17],只有18个?
      这是怎么回事?请高手说说。谢谢!
转自Tony嵌入式论坛,地址:http://www.cevx.com/bbs/thread-27294-1-1.html
tri_state_bridge_0_address[17..0] 才刚好18位
我公司是专业从事缓存芯片市场推广,代理的品牌来自美国,韩国以及台湾,产品种类有:
1.低功耗随机存储器 (Low Power SRAM):1M/2M/4M/8M/16M bit

2.高速静态随机存储器(High Speed SRAM):1M/2M/4M/8M bit  

3.伪静态随机存储器 (Pseudo SRAM):1M/2M/4M/8M bit

4.动态同步随机存储器(SDRAM):64M/128M/256M bit

电话:021-31166585/31166589/31166591

手机:曾勇(18221290095)
返回列表