首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

新手求教:用VHDL编写一个0-9999的4位3321码二进制计数器

新手求教:用VHDL编写一个0-9999的4位3321码二进制计数器

结果能同时显示出2个7段LED数字和与数字对应的2个3321码。如图,以“6741”为例,每次显示2个数字,按键1用来切换显示高2位或低2位数字;按键2用来加载计数;按键3用来读取计数;按键4用来暂停和开始计数。另有2个LED,用来表示按下键1后,当前显示是高位还是低位数字,高位则左边的LED亮,低位则右边的LED亮。程序结果能在Xilinx(芯片为Spartan-3)的FPGA电路板上执行。求高手指教如何编写!!
亲,我发现你还真实广撒网呢
亲,我发现你还真是广撒网呢
3# snowinmoon
是啊,四处急寻高手中。。。
有没有高手帮忙啊?!~~~
呼唤牛人啊!~~不能全写的,给个流程图也行啊。。有愿意赐教的吗?!?!
呼唤牛人啊!~~不能全写健康养生
中医养生http://www.zhongyi139.com
中医139 http://www.zhongyi139.com
买鞋子哪个网站好 鞋客团http://www.xkt321.com
楼上不要打广告啊。。我很急的问呢。。。
顶起来!!!
返回列表