首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

在modelsim 5.7se中编译xilinx(ise7.1i)时出现的错误,请大家帮我会诊一下!

在modelsim 5.7se中编译xilinx(ise7.1i)时出现的错误,请大家帮我会诊一下!

Release 7.1.01i - COMPXLIB H.38
Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved.

XILINX = 'F:\ISE 7.1i'
Library Source => 'F:\ISE 7.1i'

Compilation Mode = FAST
Scheduling Smart-Model library installation & compilation for all architectures

Signature:-
------------------------------------------------------------------------------
compxlib -s mti_se
-arch all
-lib all
-l all
-dir f:\modelsim_5.7\xilinx_libs
-log compxlib.log
------------------------------------------------------------------------------

Installing Xilinx Smart-Model.....

-> Environment variable LMC_HOME = F:\ISE 7.1i\smartmodelt\installed_nt
-> Extracting model names from F:\ISE 7.1i\smartmodel\nt\image\sl_toc.dat
-> Creating 'model.list' at current directory

Library Image directory : 'F:\ISE 7.1i\smartmodel\nt\image'
Installaltion directory : 'F:\ISE 7.1i\smartmodel\nt\installed_nt'
Running installer......



Compiling Xilinx HDL Libraries for ModelSim SE Simulator
Language => verilog, vhdl
Backing up setup files if any...
Output directory => 'f:\modelsim_5.7\xilinx_libs'

--> Compiling verilog unisim library
> Unisim compiled to f:\modelsim_5.7\xilinx_libs\unisims_ver

==============================================================================
"START_COMPILE"
Model Technology ModelSim SE vlog 5.7c Compiler 2003.03 Mar 13 2003
** Error: (vlog-19) Failed to access library 'work' at "f:\modelsim_5.7\xilinx_libs\unisims_ver".
No such file or directory. (errno = ENOENT)
** Error: (vlog-19) Failed to access library 'work' at "work".
No such file or directory. (errno = ENOENT)
** Error: Library work not found.
** Error: Verilog Compiler exiting
"END_COMPILE"
==============================================================================

ERROR:CAEInterfaces:356 - COMPXLIB[env]: directory not accessible for writing log file 'f:\modelsim_5.7\xilinx_libs\unisims_ver\cxl_unisim.log'
: check READ/WRITE permissions
> Library mapping successful, setup file(s) modelsim.ini updated

compxlib[unisims_ver]: 4 error(s), no warning(s)

ERROR:CAEInterfaces:562 - COMPXLIB[file]: unable to open info file 'f:\modelsim_5.7\xilinx_libs\unisims_ver\.xil_info'

--> Compiling verilog uni9000 library
> Uni9000 compiled to f:\modelsim_5.7\xilinx_libs\uni9000_ver

==============================================================================
==============================================================================
"START_COMPILE"
Model Technology ModelSim SE vlog 5.7c Compiler 2003.03 Mar 13 2003
** Error: (vlog-19) Failed to access library 'work' at "f:\modelsim_5.7\xilinx_libs\uni9000_ver".
No such file or directory. (errno = ENOENT)
** Error: (vlog-19) Failed to access library 'work' at "work".
No such file or directory. (errno = ENOENT)
** Error: Library work not found.
** Error: Verilog Compiler exiting
"END_COMPILE"
==============================================================================

ERROR:CAEInterfaces:356 - COMPXLIB[env]: directory not accessible for writing log file 'f:\modelsim_5.7\xilinx_libs\uni9000_ver\cxl_uni9000.log'
: check READ/WRITE permissions
> Library mapping successful, setup file(s) modelsim.ini updated

compxlib[uni9000_ver]: 4 error(s), no warning(s)

ERROR:CAEInterfaces:562 - COMPXLIB[file]: unable to open info file 'f:\modelsim_5.7\xilinx_libs\uni9000_ver\.xil_info'

--> Compiling verilog simprim library
> Simprim compiled to f:\modelsim_5.7\xilinx_libs\simprims_ver

==============================================================================
"START_COMPILE"
Model Technology ModelSim SE vlog 5.7c Compiler 2003.03 Mar 13 2003
** Error: (vlog-19) Failed to access library 'work' at "f:\modelsim_5.7\xilinx_libs\simprims_ver".
No such file or directory. (errno = ENOENT)
** Error: (vlog-19) Failed to access library 'work' at "work".
No such file or directory. (errno = ENOENT)
** Error: Library work not found.
** Error: Verilog Compiler exiting
"END_COMPILE"
==============================================================================

ERROR:CAEInterfaces:356 - COMPXLIB[env]: directory not accessible for writing log file 'f:\modelsim_5.7\xilinx_libs\simprims_ver\cxl_simprim.log'
: check READ/WRITE permissions
> Library mapping successful, setup file(s) modelsim.ini updated

compxlib[simprims_ver]: 4 error(s), no warning(s)

ERROR:CAEInterfaces:562 - COMPXLIB[file]: unable to open info file 'f:\modelsim_5.7\xilinx_libs\simprims_ver\.xil_info'

--> Compiling verilog XilinxCoreLib library
> compiling unisim library first
> Unisim compiled to f:\modelsim_5.7\xilinx_libs\unisims_ver

==============================================================================
"START_COMPILE"
Model Technology ModelSim SE vlog 5.7c Compiler 2003.03 Mar 13 2003
** Error: (vlog-19) Failed to access library 'work' at "f:\modelsim_5.7\xilinx_libs\unisims_ver".
No such file or directory. (errno = ENOENT)
** Error: (vlog-19) Failed to access library 'work' at "work".
No such file or directory. (errno = ENOENT)
** Error: Library work not found.
** Error: Verilog Compiler exiting
"END_COMPILE"
==============================================================================

ERROR:CAEInterfaces:356 - COMPXLIB[env]: directory not accessible for writing log file 'f:\modelsim_5.7\xilinx_libs\unisims_ver\cxl_unisim.log'
: check READ/WRITE permissions
> Library mapping successful, setup file(s) modelsim.ini updated
> [unisims_ver]: 4 error(s), no warning(s)

ERROR:CAEInterfaces:562 - COMPXLIB[file]: unable to open info file 'f:\modelsim_5.7\xilinx_libs\unisims_ver\.xil_info'
> XilinxCoreLib compiled to f:\modelsim_5.7\xilinx_libs\XilinxCoreLib_ver

==============================================================================
"START_COMPILE"
Model Technology ModelSim SE vlog 5.7c Compiler 2003.03 Mar 13 2003
** Error: (vlog-19) Failed to access library 'work' at "f:\modelsim_5.7\xilinx_libs\XilinxCoreLib_ver".
No such file or directory. (errno = ENOENT)
** Error: (vlog-19) Failed to access library 'work' at "work".
No such file or directory. (errno = ENOENT)
** Error: Library work not found.
** Error: Verilog Compiler exiting
"END_COMPILE"
==============================================================================

ERROR:CAEInterfaces:356 - COMPXLIB[env]: directory not accessible for writing log file 'f:\modelsim_5.7\xilinx_libs\XilinxCoreLib_ver\cxl_XilinxCoreLib.log'
: check READ/WRITE permissions
> Library mapping successful, setup file(s) modelsim.ini updated

compxlib[XilinxCoreLib_ver]: 4 error(s), no warning(s)

ERROR:CAEInterfaces:562 - COMPXLIB[file]: unable to open info file 'f:\modelsim_5.7\xilinx_libs\XilinxCoreLib_ver\.xil_info'

--> Compiling verilog smartmodel(unisim) library
> compiling unisim library first
> Unisim compiled to f:\modelsim_5.7\xilinx_libs\unisims_ver

==============================================================================
"START_COMPILE"
Model Technology ModelSim SE vlog 5.7c Compiler 2003.03 Mar 13 2003
** Error: (vlog-19) Failed to access library 'work' at "f:\modelsim_5.7\xilinx_libs\unisims_ver".
No such file or directory. (errno = ENOENT)
** Error: (vlog-19) Failed to access library 'work' at "work".
No such file or directory. (errno = ENOENT)
** Error: Library work not found.
** Error: Verilog Compiler exiting
"END_COMPILE"
==============================================================================

ERROR:CAEInterfaces:356 - COMPXLIB[env]: directory not accessible for writing log file 'f:\modelsim_5.7\xilinx_libs\unisims_ver\cxl_unisim.log'
: check READ/WRITE permissions
> Library mapping successful, setup file(s) modelsim.ini updated
> [unisims_ver]: 4 error(s), no warning(s)

ERROR:CAEInterfaces:562 - COMPXLIB[file]: unable to open info file 'f:\modelsim_5.7\xilinx_libs\unisims_ver\.xil_info'
> unable to parse initialization file. Check if the
file modelsim.ini is present in the current directory
with read/write permissions
> SWIFT Interface configuration procedure failed
> Unisim Smart-Models compiled to f:\modelsim_5.7\xilinx_libs\unisims_ver

==============================================================================
"START_COMPILE:"
Model Technology ModelSim SE vlog 5.7c Compiler 2003.03 Mar 13 2003
** Error: (vlog-19) Failed to access library 'work' at "f:\modelsim_5.7\xilinx_libs\unisims_ver".
No such file or directory. (errno = ENOENT)
** Error: (vlog-19) Failed to access library 'work' at "work".
No such file or directory. (errno = ENOENT)
** Error: Library work not found.
** Error: Verilog Compiler exiting
Model Technology ModelSim SE vlog 5.7c Compiler 2003.03 Mar 13 2003
** Error: (vlog-19) Failed to access library 'work' at "f:\modelsim_5.7\xilinx_libs\unisims_ver".
No such file or directory. (errno = ENOENT)
** Error: (vlog-19) Failed to access library 'work' at "work".
No such file or directory. (errno = ENOENT)
** Error: Library work not found.
** Error: Verilog Compiler exiting
"END_COMPILE:"
返回列表