首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Xilinx FPGA与VHDL学习手记(4)

Xilinx FPGA与VHDL学习手记(4)

4、管脚分配约束
user guide,IO一章有详细说明的。
1)建立ucf文件:建一个文本文件后缀为.ucf,在工程中add source这个文件就可以。
2)管脚分配:(1)直接编辑ucf文件,可以选中ucf文件,在process中点击“Edit documents”可以打开。
编辑时,“yourname” LOC = FPGA的管脚。即指定了管脚位置。
“yourname” IOSTANDARD = LVTLL等电平标准。即指点了改管脚的电平标准。
(2)点击process中的“assign Package Pins ”,在打开的界面中,把左边的管脚直接拖进图中的管脚就可以。或者在右边列表中直接输入。
3)bank的电平标准可以查看器件的user guide,里面有一章介绍得很详细,每个bank都可以随意设置为该器件支持的电平标准,不同的电平标准在一个bank中要注意它们的电平要一致,比如都为3.3v,电平可以为LVTTL、LVCOMS33。
4)你自己的管脚要什么标准,需不需要上拉,和你自己的设计有关系。
5)skew选fast,IO转化时快,但电流大,功耗大。
   skew选slow,IO转化慢,但功耗小。
   查看器件的DC AC Switching特性手册有详细说明。
6)Delay,输入不需要延迟,就选NONE。
返回列表