首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]关于vhdl的设计

[求助]关于vhdl的设计

  请问关于vhdl中输入信号的初始值像clk信号在vhdl中怎么定义,谢谢.
直接写呀   比如  : CLK : STD_LOGIC :='0'
这样符合你的要求吗
我是天堂的使者,向我倾诉吧
返回列表