首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

关于wait语句:

关于wait语句:

还有我买了一本  Verilog HDL语言程序设计与应用 王伟 编著. 书中有介绍wait语句的,但是我在ISE中使用时却提示不支持wait语句, ERROR:Xst:850 - lcd1119.v line 42: Unsupported Wait Statement. 不知道是为什么?

[此贴子已经被作者于2005-11-26 16:56:04编辑过]

返回列表