首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

谁能帮忙看下这个错误怎么解决?

谁能帮忙看下这个错误怎么解决?

程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity clkgen is
port(sysres,en1,clk:in std_ulogic;
     cntclk,keyclkut std_ulogic);
end clkgen;

architecture rt1 of clkgen is
component cnt10
port(reset,en,clk:in std_ulogic;
     coutut std_ulogic;
     qut std_ulogic_vector(3 downto 0));
end component;
  
component cnt4
port(reset,en,clk:in std_ulogic;
           coutut std_ulogic;
            qut std_ulogic_vector(1 downto 0));
end component;
signal cntclk_s:std_ulogic;
begin
u0:cnt10 port map(reset=>sysres,en=>en1,clk=>clk,cout=>cntclk_s);
u1:cnt4  port map(reset=>sysres,en=>cntclk_s,clk=>clk,cout=>keyclk);
cntclk<=cntclk_s;
end rt1;
编译错误:unsupported feature error:enumeration type definition not supported in port declaration of the component instantiation statement
请问怎么解决,谢谢
返回列表