首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

UltraEdit的SystemVerilog关键词设置共享[转帖]

UltraEdit的SystemVerilog关键词设置共享[转帖]

哈哈,我在网上找到的,觉得挺好的,就拿上来了,版权可是作者的啊! 

我用UltraEdit时编辑的SystemVerilog关键词高亮显示设置,与大家共享。不妥或者需要
改进之处请告诉btltz(bffv@china.com.cn)。
    把该文件替换UltraEdit目录下同名文件即可。但是注意:
    SystemVerilog部分在文件末尾(/L15 打头),每个人的惯用语言不一样,如果你只需要
增加SystemVerilog部分而保留其它设置,则把这最后一部分拷下贴到你的 WORDFILE.TXT中就
可(别忘了把该文件设为WORDLIST文件:菜单-> 高级->配置->语法高亮>WORDLIST文件完整
路径名称...)。SystemVerilog文件后缀名设为为sv,如果更改或添加则编辑“File Extensions
= SV”即可。   
    同时这份文件也包括Verilog和VHDL关键词,分别是L10和L11,如果需要的话也可以拷贝,
不过Verilog可能不全部包含Verilog 2001版和现在的Verilog2005版的信息,VHDL也不全部
包含93或2002版信息,呵呵

UltraEdit的SystemVerilog关键词设置共享:
http://bbs.chinaecnet.com/uploadImages/2005121614382387920.rar
呵呵,觉得好的,有意思的支持一下!
顶!!!!!!!!!!!!!!!!!!!!!!
返回列表