首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

关于VHDL:event能嵌套吗?

关于VHDL:event能嵌套吗?

  我用VHDL设计了一个移位寄存器,输入有时钟clk、写信号/WR和数据等,嵌套形式如下:

    if (clk'event) and (clk='1') then

           if (wr'event) and (wr='0') then

           endif

        endif

    结果编译时出现错误提示:process clocking is too complex.

请问,这是什么原因?先谢谢了 

 

我是菜鸟,请多多指教
不可以在时钟沿的时候去判断另外一个沿!
没错,偶也明白了,谢谢
我是菜鸟,请多多指教
返回列表