首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助:关于管脚分配的问题

求助:关于管脚分配的问题

各位大虾,小弟有一个问题请教,用VHDL语言编辑完成,通过编译没有问题,然后最后分配管脚的时候出现下列问题“Logic Array Block A requries too many (39/16)shareable expanders”,不知该怎么办,请大家帮忙
是你用的器件的共享扩展太少了,你换一个好点的芯片试试
我也遇到过,编译没有问题,说明综合和自动分配管脚没有问题,说明手动分配的管脚不合理,调整一下就可以了,
没有什么事是做不到的!
返回列表