首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

FPGA-2

FPGA-2

此 系列献给想学习FPGA的友们,同时也是个人学习知识的回忆与总结。

度过了第一课,还没明白怎么下载程序,书上说有个软件叫做Quartus II ,可以进行编程与下载程序的(入门教程以附件形式送出)。于是乎,就得先熟悉Quartus II 软件的使用。慢慢摸索知道怎么去操作了,那咱开始点亮我的第一个LED灯吧

LED灯与FPGA的某些引脚相连,其负极接地,当与LED灯相连接的引脚位高电平时,对应的灯就会亮,即运用电平的高低来控制LED灯的亮与灭。 ---LED点亮原理

LED灯电路原理图如图



当 IO3为高电平的时候 D1导通,LED发光,当IO3为低电平的时候,LED灭,想想R1有什么作用呢??

那接下来我们让它亮起来!!!
由上节课知道了VHDL语言的基本结构,VHDL有个实体模块,必须申明管脚,在这里要使得LED亮灭就必须有一个引脚与LED连接起来才可控制,控制引脚的高低电平即可所以就这么写吧。

参考程序
--------------------------------库说明-------------------------------

library ieee;   -- IEEE标准库
use ieee.std_logic_1164.all; --调用库中的程序包
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
--------------------------------实体说明------------------------------
entity LED_light is                                     --LED_light 是实体名
port(LED : out std_logic); --定义输出端口  
end LED_light;
-------------------------------结构体说明-----------------------------
architecture behav of LED_light is        --behav 是结构体名
begin LED<="1";                     --点亮LED
end behav;

如果想让LED灭,就把LED<="1"改为LED<="0";

但是如果我想让它有多种花样闪烁呢,就像广告灯一样哦,该怎么办呢,想想,在回忆FPGA-3。
返回列表