首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ISE 7.1i 模擬counter有錯誤

ISE 7.1i 模擬counter有錯誤

Dear all:


我在ISE下模擬counter 開一個test bench waveform file


當我按下 behavior simulate model後


卻出現 警告 "warning:there is an 'U'|'x'|'W'|'Z'|'-' is an arithmetic operand, the result will be 'X'(es).


source code:


library ieee;


use ieee.std_logic_1164.all;


use ieee.std_logic_arith.all;


use ieee.std_logic_unsigned.all;


entiey counter is


port( clk:in std_logic;


qut std_logic_vector(3 downto 0) );


end counter;


architecture a of counter is


signal qn:std_logic_vector(3 downto 0);


begin


process(clk)


begin


if(clk'event and clk='1') then


  qn<qn+1;


end if;


q<=qn;


end a;


WHY???


 


 


 


 


 


 


 


 


 


 

qn 这句不对吧
没有个性就是个性
我找到錯誤了 qn要作初始值設定
返回列表