首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[推荐]请各位大虾推荐一款FPGA学习板

[推荐]请各位大虾推荐一款FPGA学习板

我一直用ALTERA的CPLD的。现在想学FPGA。


选ALTERA、XILINX还是LATTICE的呢?


请各位大虾推荐一款FPGA学习板。

[此贴子已经被作者于2006-1-17 15:33:04编辑过]

欢迎访问我的 BlogPage. http://hi.baidu.com/mcu_spaces
自己焊
我焊接技术倒是可以,但芯片引脚定义,布线,做板。。。万一失误岂不花了冤枉钱啊。
我想看看别人的电路 ,再做。
欢迎访问我的 BlogPage. http://hi.baidu.com/mcu_spaces
你好,我认为啊,还是xilinx的比altera的高端一些,像在大学里面,用altera的比较多,但是各个公司里面,还有研究所,用xilinx的全是,作为一个比你早些入行的,我建议你选择xilinx的,如果有兴趣的话,你可以加我的q93214995或者,加我的群18411142
我喜欢和大家一起交流xilinx的fpga技术
我的q是93214995,群是18411142
感谢didawangshu!
我会好好学习的!
欢迎访问我的 BlogPage. http://hi.baidu.com/mcu_spaces
还有建议的吗?帖子要沉拉。
欢迎访问我的 BlogPage. http://hi.baidu.com/mcu_spaces
返回列表