首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ISE结合MODELSIM;ISE8.1问题专区!

在ISE综合时出现如下警告信息,请问是否有大侠遇到过?
如何解决?

Optimizing unit ...
INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 11 FFs/Latches, which will be removed :
WARNING:Xst:638 - in unit flashRead_tb Conflict on KEEP property on signal Inst_flashRead/Mtridata_addr<7> and Inst_flashRead/Mtridata_addr<8> Inst_flashRead/Mtridata_addr<8> signal will be lost.
我也遇到了这个问题,可是你们的讨论我不懂啊,能具体说说不啊,多谢了啊
大家有没有遇到过这种情况,在用ISE8.1建好工程之后,添加文件的时候要我们输入端口引脚名字时,我们输入和不输入会出现不同的结果,输入以后产生的文件就可以使用Synthesize -XST进行综合,而不输入的就不能。这是什么原因呢?有什么区别吗?

图如上所示示  fficeffice" />

[此贴子已经被作者于2006-6-8 22:12:49编辑过]

[此贴子已经被作者于2006-6-8 22:14:07编辑过]

没有啊

在VHDL里写一样的啊
上面的情况没有遇到过。你的问题是不是有库没有编译阿
是新建一个VHDL文件的时候,选择next按钮,有这样的一个页面,我填和不填最后出来的VHDL文件是一样的,但是可选的综合项就不同了,有没有可以帮我看看你们是不是也这样啊?这只是用ISE的时候,不牵扯库的编译啊
在ISE中  RTL SCHEMATIC与TECHNOLOGY SCHEMATIC有什么区别   他们有特殊用途吗?
我在网上下载的ISE8.1,可以解压,也可以安装,但是安装结束后,当我打开时,它提示说缺少libPortability.dll,然后提示我重装,我重装过后还是这个问题
请高手指教一下阿,到底是怎么回事呢??是不是我下载的有问题啊!
你说的这个问题,我也遇到过,后来重新安装了一次就好了!
我重装了好几次都没有解决
呵呵
我用8.1将在6.3下的项目更新,并成功编译。
第二天打开时,提示项目被锁定,是否解锁?我点击确定,然后8.1就开始工作,直到无响应。强行推出,再试,仍旧。新建项目,工作正常。
我用的8.1是否有问题?还是我设置的不对?
谢谢高人指点。
ISE 8.1 进行 post-route simulation时出现如下异常,请大伙给些建议??
Simulator is doing circuit initialization process.

Exception caused by user code. Simulation stopped when executing process: X_RAMB16.v:503 on line 7264 in file "D:/decoder_design/Active_hdl_designs/Rom_epson_controller/epson_controller/netgen/par/epson_controller_top_timesim.v"

1 >
请大家给些建议,出现此异常的原因是什么,该如何解决这个问题,或者到哪里可能可以找到解决这个问题的途径?

谢谢!
刚开始用ise8.1,发现每个工程中只有排在最前面的那个源文件带有综合等选项,而其他模块的进程窗口中只有check syntax的选项,是不是在该版本中只能综合顶层文件阿,求各位指点?
另外,原来的中文注释在该版本中显示为乱码,请问怎样才能解决这个问题呢?
TO:agilite

"我在网上下载的ISE8.1,可以解压,也可以安装,但是安装结束后,当我打开时,它提示说缺少libPortability.dll,然后提示我重装,我重装过后还是这个问题
请高手指教一下阿,到底是怎么回事呢??是不是我下载的有问题啊!"

这个问题,你把下载的文件放在C 盘根目录下再开始安装。 估计是中文文件名字的原因。 实在不行就把安装包用RAR解开看里面有没有。
我不是高手
返回列表