首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

用FPGA产生PWM波形?

用FPGA产生PWM波形?

毕业设计题目!求各位大侠帮忙!知道的指点一下,大概原理!有原程序最好!跪谢

module pwm(clock,keyin,pwm_out);
input clock;
input [1:0] keyin;
output pwm_out;

reg [20:0] count;
reg [9:0] pwm_count;
reg pwm_reg;

always @(posedge clock)
begin
count=count+1;
if (count[15:6] < pwm_count)
pwm_reg=1;
else
pwm_reg=0;
end

always @(posedge count[15])
begin
if (keyin[0] == 1'b0)
begin
pwm_count=pwm_count+1;
end
else if (keyin[1] == 1'b0)
begin
pwm_count=pwm_count-1;
end
end

assign pwm_out=pwm_reg;

endmodule
返回列表