首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求VHDL编写关于单稳脉冲的程序

求VHDL编写关于单稳脉冲的程序

我是一名CPLD初学者,希望哪个大虾能帮忙指导一下关于单稳脉冲程序的编写,我编的怎么老是通不过啊,不知道是什么问题
我有我的天空
返回列表