首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

IP core DDS使用问题请教!

IP core DDS使用问题请教!

在调用ISE的DDS模块时出现以下Waring,是致命的,因为没有输出。
我只是用DDS产生一个正弦波:

component DDS
                port(
                clk: in std_logic;
                COSINE: out std_logic_vector(13 downto 0));
end component;


WARNING:Xst:37 - Unknown property "black_box".
WARNING:Xst:647 - Input <clk> is never used.
WARNING:Xst:1305 - Output <COSINE> is never assigned. Tied to value 00000000000000.

37号warning在调用别的IP core时也遇到过,但Property不一样,能正常使用。关键是后面的waring,输入没有连接,输出直接到地。输入clk我例化时已经接了系统时钟了。


请高人指点啊。

Input is never used
应该是你的clk没有用到吧
Output is never assigned
可能是你的output没有指定
或许还需要  use语句
调用IP Core不要用USE语句啊,模板里就没有用。

clk是IP core用的,怎么会没有用到?

Output没有指定是什么意思? 我在例化是赋给里别的信号里啊
如果是8.1,确认你的DDS仿真模型是否被设为了VHDL。选中核的.xco以后右键去点下面的HDL functional model
 
返回列表