首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

VHDL设计D触发器,一个很简单的问题,但总是出错

你的这段程序既不是D触发器,也不是T触发器
你把两者搞反了
不好意思,是T触发器

VHDL设计D触发器,一个很简单的问题,但总是出错

这是卢毅写的《VHDL与数字电路设计》书中的程序,代码如下: LIBRARY IEEE; USE... --******* ENTITY DCHIP IS PORT( CP:IN STD_LOGIC; Q:OUT STD_LOGIC); --******** ARCHITECTURE A OF DCHIP IS SIGNAL QN:STD_LOGIC; BEGIN PROCESS(CP) BEGIN IF CP'EVENT AND CP='1' THEN QN<=NOT QN; END IF; END PROCESS; Q<=QN; END A; 这段程序,其实一看就能看出问题来,因为QN没有初始值,仿真结果也证明了这段程序的问题。但是通过很多方法来设置QN的初始值,总是不对。请各位大虾指教一下本人,谢谢。
你再仿真一下,我好象没有这个问题呀.是不是你的编译器的问题呀.
好象没有输入端哦
实体说明部分没结束end entity
实体说明部分没结束end entity
返回列表