首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助!

能给我用一下maxplus吗?怎么下载呀,我说的是商业版

求助!

我在MAX+PLUS 10.1下用VHDL编程,编译是总是有错误为:
TDF syntax error:Expected assert,constant,define,function,if,option,parameters,subdesign,or title but found a symbolic name"entity"
晕,可以!和我联系!
有没有高手指点!
返回列表