首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

fpga学习日记8,modelsim入门

fpga学习日记8,modelsim入门

使用verilog编好程序后总要编译和仿真的 来看看自己写的程序对不对
这时modelsim就派上用场了
开发arm的时候mdk既能编译 又能配合
硬件仿真 quartus ii9.0版本好像是既能编译又能仿真新建个wave文件就能仿真了      11.0好像只能分析时序和逻辑分析     没仿真功能(有待进一步考证) 那就用modelsim来仿真测试
貌似还有别的仿真工具 不过modelsim用的好像比较多




测试模型为




测试代码或者波形文件可提供激励

根据激励后的仿真效果来看代码是否正确









前仿真:

建立库并映射到物理目录
添加代码(程序代码和测试代码)
编译,改错


进入仿真环境
添加需要查看的引脚
执行代码 开始仿真
查看仿真结果
(使用各种工具查看,分析)



这里有个教程讲的很详细 比较容易理解

http://wenku.baidu.com/view/cd93f34ecf84b9d528ea7a95.html

这个讲的也不错    激励源介绍了测试代码和波形编辑两种方法
http://wenku.baidu.com/view/eb3b281555270722192ef744.html

这个是modelsim使程ppt





http://wenku.baidu.com/view/d06666db6f1aff00bed51e71.html

初级教程 讲了波形法和testbench法   包括前后仿真方法
http://wenku.baidu.com/view/b9fdad00581b6bd97f19ea24.html

激励源波形编辑




仿真后查看




testbench讲义
http://wenku.baidu.com/view/673044eb19e8b8f67c1cb9b2.html
返回列表