首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

双向口问题的小节,欢迎补充

楼主,你给的总结很好,我最近也在做一个项目,里面要用到双向端口,我用的是QUARTUS II5.0,但是INOUT类型的老是做不对,我拿楼主给的例子在QUARTUS II5.0里做了仿真测试,但是INOUT类型的端口,楼主例子里的data : inout STD_LOGIC_VECTOR(7 downto 0),bidir : INOUT STD_LOGIC_VECTOR (7 DOWNTO 0)两个双向端口只能读入外部数据,即只能做输入,不能做输出,输出的时候只能显示是Z,即高阻态。我的邮箱是dangloveren@163.com,QQ:99105983, 请楼主见到此贴后与我联系,不胜感激!

确实不错!谢谢了!
我不是高手
返回列表