首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]用vhdl写控制程序

[求助]用vhdl写控制程序

来一个clk   cpld将写数据到指定的芯片管脚中,再来一个clk从该芯片的刚才输入管脚中读出数据到cpld刚才写出数据的管脚上,应该怎么写呢?请高手指点!谢谢!

?没看明白你的意思

我的理解是第一个时钟准备,第二个时钟数据传输?

process(clk)

variable valid:std_logic:='0';

begin

if(clk'event and clk='0')then

if(valid='0')then

   valid:='1';

else

   dout<=din;

   valid:='0';

end if;

end if;

end process;

每一天都是新的开始,每一天都有新的收获
谢谢楼上的回答,但是,不是这个意思的。我的意思是说:用同一个口!前面一个clk在此口输出控制数据,控制芯片;后面一个clk在这个口输入数据(也就是从该芯片刚才输入数据的端口再读数据),怎么做呢?要把这个口做成双向端口,怎么做呢?[em04]

建议你看一下vhdl中的状态机,再vhdl中对时序的处理一般是通过状态机来实现的。即一个状态作什么,下一个状态。。。。

你这里状态间的切换用clk来实现。

双向口用inout类型,要读数时先设为高阻态'Z'

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm

谢谢樓上的回答

返回列表