首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

多种EDA工具的FPGA协同设计

多种EDA工具的FPGA协同设计

关键字:FPGA   EDA   协同设计  


       一、前言

       FPGA在电子市场上占有举足轻重的地位。现在的问题是:现在市场在FPGA开发方面的EDA工具令人眼花缭乱,各自侧重点不同,性能也不一样,我们应该如何选择?为了加速FPGA的开发,选择并协调好各种EDA工具显得非常重要,本文将探讨上述问题并给出一种解决方案。本文以Altera公司的FPGA为目标器件,通过开发实例介绍FPGA开发的完整的流程及开发过程中使用到的开发工具,包括QuartusII、FPGA CompilerII、Modelsim,并重点解说如何使用这三个工具进行协同设计

       二、FPGA的开发流程及实例

       FPGA的开发分为设计输入、功能仿真、设计综合、前仿真、布局布线、时序仿真、时序分析和编程下载几个步骤。设计流程如图1所示。





     我们的开发实例是“带顺序选择和奇偶检验的串并数据转换接口”。接口电路可以实现数据的串并转换,并根据控制信号确定输出的并行数据的顺序,以及输出奇偶检验位。开发实例是用来说明FPGA的开发流程和各种EDA工具的协同设计,因此这里的描述重点并在设计本身。开发实例使用的目标器件是Altera公司FLEX10KE系列的EPF10K30ETC114-1;开发软件有QuartusII2.0、FPGA CompilerII 3.6和Modelsim5.6SE。

       Quartus II是Altera公司的第四代可编程逻辑器件集成开发环境,提供从设计输入到器件编程的全部功能。 Quartus II可以产生并识别EDIF网表文件、VHDL网表文件和Verilog HDL网表文件,为其他EDA工具提供了方便的接口;可以在Quartus II集成环境中自动运行其他EDA工具。 Mentor Graphics公司的Modelsim是业界较好的仿真工具,其仿真功能强大,且图形化界面友好,而且具有结构、信号、波形、进程、数据流等窗口。FPGA Compiler II是一个完善的FPGA逻辑分析、综合和优化工具,它从HDL形式未优化的网表中产生优化的网表文件,包括分析、综合和优化三个步骤。 如果设计的硬件系统不是很大,对综合和仿真的要求不是很高,我们完全可以在Quartus II中完成设计。实际上,这个开发实例完全可以在Quartus II这个集成的开发环境中完成。下面,我先介绍一下如何在Quartus II中完成设计,然后再介绍如何利用Quartus II提供的第三方EDA工具的接口与其他EDA工具(包括综合工具FPGA Compiler II和仿真工具ModelSim5.6SE)完成协同设计。

       1. 基于Quartus II的FPGA的开发

       利用Quartus II软件的开发流程可概括为以下几步:设计输入、设计编译、设计时序分析、设计仿真和器件编程。

       (1)设计输入

       Quartus II软件在File菜单中提供“New Project Wizard...”向导,引导设计者完成项目的创建。当设计者需要向项目中添加新的VHDL文件时,可以通过“New”选项选择添加。在这里我们创建项目“s_to_p”,编写“s_to_p.vhd文件”,并将文件添加到项目中。

       (2)设计编译

       Quartus II编译器完成的功能有:检查设计错误、对逻辑进行综合、提取定时信息、在指定的Altera系列器件中进行适配分割,产生的输出文件将用于设计仿真、定时分析及器件编程。

       ①首先确定软件处于Compile Mode,可以通过Processing菜单进行选择。
返回列表